Welcome![Sign In][Sign Up]
Location:
Search - fpga ad

Search list

[Software EngineeringFPGA-A_D

Description: 基于FPGA的多路AD转换控制接口设计,本文具有代表性,应用广泛-FPGA-based multi-channel AD conversion control interface design, typical of this article, widely used
Platform: | Size: 294912 | Author: NAME | Hits:

[VHDL-FPGA-VerilogCJQ-V1.0-fpga

Description: 实现FPGA对AD芯片AD7060的控制,程序代码的注释很多,易学易懂,适合初学者学习使用-it is good ...
Platform: | Size: 2345984 | Author: gh | Hits:

[VHDL-FPGA-VerilogFPGA-PROGRAM

Description: 包括有led、lcd、步进电机、ad、da、等程序-Including those led, lcd, stepper motor, ad, da, and other procedures
Platform: | Size: 1280000 | Author: 曾华林 | Hits:

[VHDL-FPGA-Verilogtlc549adc

Description: FPGA AD数据采集模块,实现模拟信号到数字信号转换。-FPGA AD data acquisition module, the analog signal to digital signal conversion.
Platform: | Size: 686080 | Author: 刘芳 | Hits:

[Software EngineeringFPGA-chipscope-Analysis-AD-

Description: FPGA chipscope Analysis AD 主要包括一款TI/国半的超高速ADC调试经验总结,chipscopez抓取信号波形的MATLAB分析-FPGA chipscope Analysis AD ,包含TI high speed ADC debug, and matlab analyse the waveform captured by chipsope
Platform: | Size: 1111040 | Author: gao xiangfeng | Hits:

[VHDL-FPGA-VerilogAD-and-DA-in-DSPPFPGA

Description: 上海志宇DSP+FPGA开发板AD/DA回放程序-AD/DA in DSP+FPGA
Platform: | Size: 3249152 | Author: zhangtao | Hits:

[VHDL-FPGA-VerilogFPGA-Vrilog

Description: 我们课程设计的代码,课设内容是基于FPGA的时间测量和AD模数转换。该代码是用Verilog语言编写的。-Our curriculum design code, class-based content is FPGA-based time measurement and AD analog to digital conversion. The code is written in Verilog language.
Platform: | Size: 1024 | Author: Mia | Hits:

[VHDL-FPGA-Verilogfpga

Description: FPGA代码,包含地址译码模块、16位锁存器、AD片选、死区及滤除窄脉冲、过流和短路保护、解除脉冲封锁模块、PWM模块、PWM选择 -FPGA code, including the address decoder module 16 latches, AD chip select, filter out the dead and narrow pulse, overcurrent and short circuit protection, lifting the blockade pulse module, PWM module, PWM selection
Platform: | Size: 172032 | Author: qiqi | Hits:

[OtherARMPFPGA-mult-ad-interface

Description: ARM+FPGA的多路高速AD接口电路设计,非常好的资料值得参考,万网资源-ARM+ FPGA multi-channel high-speed AD interface circuit design, very good information is worth reference, nets resources
Platform: | Size: 4318208 | Author: 刘志军 | Hits:

[VHDL-FPGA-VerilogFPGA

Description: 韩福柱老师FPGA实验源码,用vhdl语言在xilinx FPGA上实现,包括ad采集,温度传感器读取,秒表,跑马灯和按键次数统计4个实验-Han Fu teacher FPGA column experiment source code, vhdl languages on xilinx FPGA implementations, including ad acquisition, temperature sensor readings, stopwatch, marquees and keystrokes 4 experimental statistics
Platform: | Size: 8192 | Author: Jery | Hits:

[VHDL-FPGA-VerilogFPGA

Description: 睿智开发板配套代码包,板载AD和DA,亲测可用。-Wise development board supporting code package, onboard AD and DA, pro-test available.
Platform: | Size: 8614912 | Author: 张国务 | Hits:

[VHDL-FPGA-Verilogshujuchuli

Description: FPGA处理AD转换数据,程序简单实用,带注释标注(FPGA processing AD conversion data, the program is simple and practical)
Platform: | Size: 2048 | Author: 凤凰院凶真 | Hits:

[VHDL-FPGA-Verilogyuanma

Description: 介绍了fpga开发的的数个工程源码,包括按键,时钟,AD/DA,VGA,数字示波器等(Introduced FPGA development of several engineering source code, including buttons, clock, AD/DA, VGA, digital oscilloscope, etc.)
Platform: | Size: 92250112 | Author: 大众 | Hits:

[VHDL-FPGA-VerilogAD4003_CTR

Description: 一个AD4003的测试/控制程序,2Ms/s,18bit的AD高速AD芯片(A AD4003 test / control program, 2Ms/s, 18bit AD high speed AD chip)
Platform: | Size: 7486464 | Author: 胜寒 | Hits:

[VHDL-FPGA-VerilogDA_AD

Description: 基于FPGA的AD和DA设计代码及文档(Design code and document of AD and DA based on FPGA)
Platform: | Size: 10486784 | Author: hanchen | Hits:

[VHDL-FPGA-Verilog-双路高速AD(AD9226)模块板发行资料

Description: 其中包括AD9226的原理图和应用程序,可以参考完成其他编程(Including AD9226 schematics and applications, you can refer to complete other programming)
Platform: | Size: 5984256 | Author: 公子小白 | Hits:

[VHDL-FPGA-Verilogad706_test

Description: AD7606的FPGA驱动,AD7606与FPGA通过并行模式连接。FPGA可以将AD采集到的信号转换成电压信号通过串口输出,可通过PC机串口调试助手查看。实测可用(The drive program of AD7606 write by verilog. FPGA can convert the AD7606'sigal to volatage and send the converted signal to PC through uart.)
Platform: | Size: 14983168 | Author: Pgaf | Hits:

[Otherad_prj1.4.3

Description: AD采集固定点数FPGA对采集数据进行指定次数累加,存储至片外SRAM并等待上位机发送取数据指令(The AD acquisition fixed point number FPGA adds the number of data to the collected data, stores it to the outside SRAM and waits for the upper computer to send the data instruction)
Platform: | Size: 40486912 | Author: 爱绒雪 | Hits:

[VHDL-FPGA-Verilog27_adda_test

Description: 黑金FPGA的ADDA调试例程,与大家一起共同学习进步,主要讲的是8位ADDA的调试。(ADDA debugger routines with black gold FPGA, and learn together with everyone to learn progress, mainly about the debug of 8 ADDA.)
Platform: | Size: 4417536 | Author: 棋墨黑白 | Hits:

[OtherIIRDirect

Description: 采用一种基于FPGA的IIR数字滤波器的设计方案:AD转换模块、IIR滤波模块、DA转换模块。(A design scheme of IIR digital filter based on FPGA is adopted: AD conversion module, IIR filter module and DA conversion module.)
Platform: | Size: 827392 | Author: 火爆夏日 | Hits:
« 1 2 3 4 5 6 78 9 10 11 12 13 14 »

CodeBus www.codebus.net